Thursday, February 26, 2009

How to get ISE 8.2i from Xilinx

Old versions of WebPACK can still be downloaded from here:

http://www.xilinx.com/ise/logic_design_prod/classics.htm